当前位置:范文大全 > 公文范文 > 简易交通灯控制逻辑电路设计报告

简易交通灯控制逻辑电路设计报告

发布时间:2021-11-04 13:20:29

简易交通灯控制逻辑电路设计报告 本文关键词:交通灯,简易,电路设计,逻辑,控制

简易交通灯控制逻辑电路设计报告 本文简介:模拟电子技术课程设计学院:电气与信息工程学院专业班级:学生1姓名:学生1学号:学生2姓名:学生2学号:指导教师:完成时间:成绩:评阅意见:评阅教师日期目录一.设计要求3二.设计的作用、目的3三.设计的基本实现31.系统概述32.单元电路的设计与分析43.电路的安装与调试6四.心得体会、存在的问题和进

简易交通灯控制逻辑电路设计报告 本文内容:

模拟电子技术课程设计

院:

电气与信息工程学院

专业班级:

学生1姓名:

学生1学号:

学生2姓名:

学生2学号:

指导教师:

完成时间:

绩:

评阅意见:

评阅教师

日期

目录

一.设计要求3

二.设计的作用、目的3

三.设计的基本实现3

1.系统概述3

2.单元电路的设计与分析4

3.电路的安装与调试6

四.心得体会、存在的问题和进一步改进7

存在的问题1:9

存在的问题2:9

存在的问题3:9

五.附录10

表2

元器件明细表10

附图212

实物图13

六.参考文献13

简易交通灯控制逻辑电路设计报告

一.设计要求

(1)东西方向绿灯亮,南北方向红灯亮,时间15s。

(2)东西方向与南北方向黄灯亮,时间5s。

(3)

南北方向绿灯亮,东西方向红灯亮,时间l0s。

(4)

如果发生紧急事件,可以手动控制四个方向红灯全亮。

二.设计的作用、目的

设计一个交通灯控制器,由一条主干道(东西道)和一条支干道(南北道)汇

合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

主、支干道均有车时,两者交替允许通行,主干道每次放行15秒,支干道每次放行10秒,还有5秒的黄灯时间作为过度,让行驶中的车辆停在禁行线以外。

通过对交通灯的设计,加深对555定时器构建电路的理解,掌握基本电路在实际生活中的应用。

三.设计的基本实现

1.系统概述

因为信号灯的工作状态循环不变,故可以才用扭环形计数器将单位时间脉冲按分配来实现,根据要求,可以采用n=6的扭环形计数器。扭环形计数器可以由3个74LS-双D触发器组成。

系统由脉冲信号发生器、定时器、控制器、信号灯显示器五大部分组成。其中脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出定时信号,使相应的LED灯发光。控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。

2.单元电路的设计与分析

图1

扭环形计数器及其译码电路

译码电路:在状态表1可以得出a=Q5*/Q1,b=Q1,c=/Q5*/Q1,d=/Q5,e=Q5*/Q1,f=Q5*Q1。

根据上述可以画出译码电路,如图1所示。

时钟电路:时钟电路的任务是产生一系列单位时间脉冲。产生脉冲的震荡电路有多种,有RC震荡电路,有CMOS器件,集成放大元件,晶体震荡元件等构成的震荡电路,还有定时元件构成的震荡电路。

这里的单位时间是黄灯点亮的时间,2.5s左右最合适,像这样的低频脉冲,可采用555定时器电路产生,讲定时器莲姐成多谐震荡电路,它的输出是周期性矩形波,其频率与外接元件参数有关,为:f=1.43/[(R1+2R2)C],2.5s的信号频率为0.4Hz,取C=10uF,R1=175KOhm,R2=100K

Ohm可满足要求。

表1

扭环形计数器的工作状态

CP

/Q6

Q6

Q5

Q4

Q3

Q2

Q1

/Q1

0

1

0

0

0

0

0

0

1

1

1

0

0

0

0

0

1

0

2

1

0

0

0

0

1

1

0

3

1

0

0

0

1

1

1

0

4

1

0

0

1

1

1

1

0

5

1

0

1

1

1

1

1

0

6

0

1

1

1

1

1

1

0

7

0

1

1

1

1

1

0

1

8

0

1

1

1

1

0

0

1

9

0

1

1

1

0

0

0

1

10

0

1

1

0

0

0

0

1

11

0

1

0

0

0

0

0

1

图2

多谐震荡电路

3.电路的安装与调试

技术问题1.面包板的接口相互之间是怎么样的?

通过万用表测量。把万用表打开,旋钮旋到欧姆

。从面包板接口接出两个需要测试的点,用万用表的两根线与其连接,观察示数。如果示数为0或者接近,那么两个点是同一根线上的。反之,就不是同一根线上的。

技术问题2.在紧急事件时,怎么样手动控制四个红灯全亮?

我们可以从电压正极连接出两根线,它们连到两个不相干的开关上,开关其它的一端接到4个红灯上。当出现事故时,可以把开关打开,四个红灯亮。

技术问题3.当打开紧急开关时,由于4个红灯与电源直接连接,怎么解决电压问题?

可以在电源与开关之间串连一个0-1kohm的滑动变阻器,作为保护电阻。并且在打开开关时,把滑动变阻器滑到最大电阻的位置。

四.心得体会、存在的问题和进一步改进

学生1:通过本次课程设计,我深深的体会到了作为一个工程师的艰难,我还只是在前人的基础上进行修改,并没有自己去设计。从这一次我知道,即使看起来简单的东西,也是需要努力、认真的。同时,必须考虑到问题的任何一个细节,否则最后也将是功败垂成。我们选这个课程设计(简易交通灯的设计),因为在实际生活中能常常看到,并且在上个学期做过这个数电的实验,自己感觉有那么一点基础,可能做起来更加舒适,毕竟以前没做过。

原理图设计:当我们选取了这样一个题目,我们就开始收集相关的各种资料,对题目有个大致的了解,规划一下设计的任务将要完成哪些功能。然后就具体的每一项功能应该怎样具体的设计,例如用什么方法完成这一功能,这种想法是否合理,比较使用哪个芯片来完成这项功能。经过长时间的查阅资料、思索、推敲,最后定出了这次设计的原理图。

确定原理图之后,在ewb上做好仿真,与实验所需的要求进行比较,多余的删除,少了的改进。

然后买好面包板,在连接线路前,对面包板进行检查。没问题了之后,接下来就是真正的硬件连接调试了。程序既然已经在仿真的软件上通过认证,如果连接上硬件不好使的话,说明在硬件上某个部分存在一定的问题。可能是我们的运气好,还是比较心细。调试时,交通灯和仿真是一样的,个人来说比较爽。因为,同学们调试时一般都会有问题。

最后了老师所要求的那些都实现了,只是这个设计还能更加的完善,自己感到遗憾。

通过这次课程设计,感觉自己平时学的东西还是太少,没有更好的完成这次的任务。但从中还是学习到了不少东西,使我受益匪浅。这次课程设计中犯下了不少错误,以后我会接受这些教训,同时把这些教训转换为经验应用到以后的此类设计中。最后,衷心感谢在课程设计期间老师对我们的无私帮助,还要感谢和我同组的合作者,以及所有帮助过我的同学。

学生2:通过这次的课程设计,我发现了自己的很多不足,找出了在模拟电路和数字电路知识上的很多漏洞,也看到了自己的在动手实践方面还缺乏经验,理论联系实际的能力还急需提高。在课程设计过程中,光有理论知识是不够的,还必须懂一些实践中的知识。

刚开始的时候,我们就分配好了各自的任务,然后我们积极查询相关资料,并且经常聚在一起讨论各个方案的可行性;最后我们设计电路图,焊接电路板,检修线路,调试电路。

在课程设计中只有一个人知道原理是远远不够的,必须让两个人都知道,否则一个人的错误,就有可能导致整个工作失败,团结协作是我们成功的一项非常重要的保证,而这次设计也正好锻炼我们这一点,这也是非常宝贵的。本次课程设计也巩固和加深了我对电子线路基本知识和理解,增强了根据课程需要选学参考资料,查阅手册、图表和文献资料的自学能力。然后深入研究,提出方案,对比后得出最终的可行方案。同时我也初步学习到了关于课程设计的基本方法、步骤和撰写设计论文的格式。

为完成这次课程设计,我们两个人确实很辛苦,大部分课余时间都花在了这件事情上,但是很遗憾我们没能完成得十全十美。最后要谢谢老师给我们的指导以跟我一组的同学互相帮助才能把这个课设做出来。

存在的问题1:设计电路没有数码管部分,如果要测量每个灯发光时间,只能通过秒表估读。(见附图1)

存在的问题2:在紧急事件时,把连接红灯的两个开关打开,可以让红灯亮,但是其他灯还是在继续工作。(见附图2)

存在的问题3:如果在其他灯上按上一个开关,当紧急事件时,我们可以控制其他灯的运行与关闭。(见附图1)

进一步的改进1:修改设计电路,向其中设计一个数码管,让实验结果更加清楚。

进一步改进2:有没有一种开关,开关的原理是在这个开关可以同时控制几个开关的打开与关闭。因为根据设计电路,开关数量有5个,在实际操作中不方便。(见附图1)

进一步改进3:看其他同学做的,控制紧急事件是采用JK触发器,我们这个设计电路也可以采用吗。

五.附录

表2

元器件明细表

序号

名称

型号与规格

数量

1

面包板

2块

2

电源

5V

1个

3

万用表

1只

4

555计时器

1块

5

74LS74

3块

6

74LS08

1块

7

电阻

175千欧姆、100千欧姆

10微法、

0.01微法

2个

8

电容

2个

9

导线

若干

10

LED灯

红、黄、绿灯每样4个

12个

11

开关

若干

附图2

实物图1

实物图2

六.参考文献

[1]彭介华.电子技术课程设计指导[M].北京:高等教育出版社

[2]孙梅生,李美莺,徐振英.

电子技术基础课程设计[M].

北京:高等教育出版社

[3]梁宗善.电子技术基础课程设计[M].

武汉:华中理工大学出版社

[4]张玉璞,李庆常.电子技术课程设计[M].

北京:北京理工大学出版社

[5]谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社

13

篇2:单片机课程设计报告--基于单片机的交通灯控制系统

单片机课程设计报告--基于单片机的交通灯控制系统 本文关键词:单片机,交通灯,控制系统,课程设计,报告

单片机课程设计报告--基于单片机的交通灯控制系统 本文简介:单片机原理及系统课程设计报告单片机原理及系统课程设计评语:考勤(10)守纪(10)过程(40)设计报告(30)答辩(10)总成绩(100)专业:自动控制班级:姓名:学号:指导教师:兰州交通大学自动化与电气工程学院2013年3月7日9基于单片机的交通灯控制系统摘要当今时代是一个自动化时代,交通灯控制等

单片机课程设计报告--基于单片机的交通灯控制系统 本文内容:

单片机原理及系统课程设计报告

单片机原理及系统课程设计

评语:

考勤(10)

守纪(10)

过程(40)

设计报告(30)

答辩(10)

总成绩(100)

业:

自动控制

级:

名:

号:

指导教师:

兰州交通大学自动化与电气工程学院

2013

3

7

9

基于单片机的交通灯控制系统

当今时代是一个自动化时代,交通灯控制等很多行业的设备都与计算机密切相关。因此,一个好的交通灯控制系统将在道路拥挤等交通状况方面给予技术革新。本文主要介绍了一个基于89C52单片机的交通灯控制系统,详细介绍了利用89C52设计并仿真实现交通灯控制系统的过程,重点对硬件设计、软件编程、调试分析以及各模块系统流程进行了详细分析,对各部分的电路也一一进行了介绍。本电路由AT89C52单片机、按键、数码管和LED灯组成,并在Protues软件上实现仿真。

关键词:交通控制;单片机;AT89C52

Abstract

The

ages

is

an

automation

ages

nowadays

and

traffic

light

control

s

waiting

a

lot

of

equipments

of

professions

is

closely

related

with

calculator.

Therefore,a

good

traffic

light

control

system

will

hustle

for

road,give

technique

innovation.

This

paper

describes

a

89C52

microcontroller-based

traffic

light

control

system,detailed

description

of

the

use

89C52

development

process

of

the

traffic

light

control

system.

Focus

on

a

detailed

analysis

of

the

hardware

design,software

programming,analysis

and

debugging

process

of

the

modular

system,on

the

part

of

the

circuit

are

introduced

one

by

one.

The

circuit

is

made

up

of

AT89C52

microcomputer,keyboard,digital

tube

and

LED

lamp

display

and

realize

simulation

in

the

Protues

software.

Keywords:Traffic

Control;

Single

Chip

Microcomputer

;AT89C52

1

引言

信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。交通灯在城市交通中起着重要的作用,它与人们日常生活密切相关,是人们出行的安全保障。因此提供一个可靠、安全、便捷的多功能交通灯控制系统有着现实的必要性。

1.1设计目的

通过应用单片机的有关知识,能够独立设计出基于单片机的交通灯控制系统,并要求在紧急情况下能运用中断方式控制指示灯的亮灭来转换道路通行方向。

1.2设计要求

本设计是单片机控制的交通灯控制系统设计。要求设计出针对一个大型十字路口设计的交通信号灯控制系统。通过单片机AT89C52控制LED灯和数码管,模拟现实生活中的交通灯工作情景。

1.3设计方法

本设计是将事先编制好的程序输入单片机,利用单片机的定时、查询、中断功能,根据十字路口两个方向上车辆动态状况给予一定的时间通行,其中利用中断方式来处理特殊情况。

2

设计方案及原理

交通灯的控制分为自动控制和人工控制两种方式,正常情况下,交通灯处于自动控制方式,此时东西方向和南北方向的交通灯轮流导通,特殊情况下,可以通过人工方式延长东西方向或南北方向交通灯的导通时间。设计两个按键K1、K2,分别为延长南北方向交通导通时间和东西方向交通导通时间。

自动控制方式:系统上电后处于自动控制方式,首先是南北方向交通导通,南北方向亮绿灯,东西方向亮红灯,数码管从20秒开始倒计时,每隔1秒减1。倒计时结束时,南北方向黄灯开始闪烁,闪烁间隔为0.5秒,共闪烁5秒。黄灯闪烁5秒倒计时结束之后,东西方向交通导通,东西方向亮绿灯,南北方向亮红灯,数码管重新开始从20秒倒计时,每隔1秒减1。

人工控制方式:交通信号灯控制系统设有手控开关。在东西方向车道放行期间,若南北方向车流量较大,按下开关K1使南北方向车道放行;在南北方向车道放行期间,若东西方向车流量较大,按下开关K2使东西方向车道放行。采用外部中断执行中断服务程序,并设置中断为低优先级中断。总体设计框图如图1所示。

图1

总体设计框图

3

硬件设计

3.1主控制系统模块

主控制器采用AT89C52单片机。AT89C52具有1个8KB的FLASH程序存储器,1个512字节的RAM,4个8位的双向可位寻址I/O端口,3个16位定时/计数器及1个串行口和6个向量二级中断结构。单片机的P1口分别用于控制东西方向车道及南北方向车道的通行灯,P0口和个别P3口用于2个2位LED计时器的控制。

3.2时钟电路模块

时钟电路由一个12MHZ晶体振荡器和两个30pF的瓷片电容组成,时钟电路用于产生单片机工作所需要的时钟信号。电路如图2所示。

图2

时钟电路模块

3.3复位电路模块

复位电路是使单片机的CPU或系统中的其他部件处于某一确定的初始状态,并从这状态开始工作,除了进入系统的正常初始化之外,当由于程序运行出错或操作错误使系统处于死锁状态时,为摆脱困境,也需按复位电路以重新启动。因为本设计中功能中有倒计时时间的记忆功能,所以不能对单片机进行硬件复位,只能用软件复位,软件复位实际上就是当程序执行完之后,将程序通过一条跳转指令让它完成复位。电路如图3所示。

图3

复位电路模块

3.4交通灯输出控制模块

道口交通灯指示采用高亮度红、黄、绿发光二极管进行提示。通过与驱动电阻连接使发光二极管按照程序要求亮灯。电路如图4所示。

图4

交通灯输出控制电路

3.5时间显示电路模块

道口通行剩余时间倒计时采用高亮红色7段LED发光数码管显示,采用共阴极数码管。电路图如图5所示。

图5

数码管显示模块

4

软件设计

主程序采用查询方式定时,通过调用0.5S延时子程序的次数,从而获取交通灯的各种时间。子程序采用定时器1方式1查询定时,定时器定时50ms,确定50ms循环20次,从而获得1S的延时时间。

有车车道的放行的中断服务程序首先要保护现场,因而需用到延时子程序,子程序采用定时器0方式1查询式定时,定时器定时50ms,确定50ms循环20次,从而获得1S的延时时间,保护现场时还需关中断。

由软件查询外中断,判别哪一道有车。待交通灯信号出现后,保持10S的延时,然后关中断,恢复现场,再开中断返回主程序。

4.1程序流程图

图6

程序流程图

5

系统仿真及实际调试

正常情况下的南北车道绿灯20S放行仿真图如附图1所示。

当南北车道无车,东西车道有车时,切换到东西车道绿灯时的仿真图附图2所示。

6

总结

通过此次课程设计,复习巩固以前我们所学习的数字、模拟电子技术、单片机原理及接口等课程知识,加深对各门课程及相互关系的理解,并成功使用了Proteus

Isis电子软件,使理论知识系统化、实用化。制作过程中遇到了很多问题,通过不断地查资料,不断地调试,一一解决。

此次课程设计巩固了我的基础知识,提高了我的应用水平,锻炼了我的动手能力,使我受益匪浅。然而,在吸取经验的同时,我也吸取了不少教训。在编写软件中,在此过程中我更为熟练得掌握汇编语言各种指令的使用方法,掌握了keil软件的使用。在编程、仿真方面都走了不少弯路。在软件调试过程中,使我又一次复习了keil和proteus联合使用的方法。在调试时曾经遇到数码管倒计时显示时间两位不能同时显示,后来经过查找资料不断调试才领悟到原来在显示的过程中要循环显示才能使得显示稳定下来。

通过这次课程设计,我掌握了用单片机设计交通灯的基体方法,增强了我寻找问题,解决问题的能力。在今后的学习和实践中,我将继续努力钻研,提高自己,争取在学术和记忆上获得更大的进步。

参考文献

[1]李华,王思明,张金敏.单片机原理及应用[M].兰州:兰州大学出版社,2001.5

[2]杨居义.单片机课程设计指导[M].北京:清华大学出版社,2009.12

[3]卢艳军.单片机原理及应用系统[M].北京:机械工业出版社,2005.2

附录

程序源代码

ORG

0000H

;初始化

AJMP

BEGIN

ORG

0003H

LJMP

ZD

ORG

0013H

LJMP

ZD

ORG

0030H

BEGIN:

MOV

SP,#6FH

;设置中断位

SETB

EA

;打开总中断

SETB

EX0

;打开外部中断0

SETB

EX1

;打开外部中断1

CLR

IT0

CLR

IT1

AL:

MOV

R7,#20

;南北车道亮绿灯20s程序

MOV

P1,#0DEH

LCALL

TIME1S

MOV

R4,#05

AH:

MOV

P1,#0DDH

;南北方向亮黄灯

MOV

R5,#05

AAA:

LCALL

TIME01S

;延时0.5s

DJNZ

R5,AAA

MOV

P1,#0DFH

MOV

R5,#05

BBB:

LCALL

TIME01S

;延时0.5s

DJNZ

R5,BBB

DJNZ

R4,AH

BL:

MOV

R7,#20

;东西方向亮绿灯20s程序

MOV

R0,#0F3H

MOV

P1,R0

LCALL

TIME1S

MOV

R4,#05

BH:

MOV

R0,#0EBH

;东西方向亮黄灯

MOV

P1,R0

MOV

R5,#05

BA:

LCALL

TIME01S

;延时0.5s

DJNZ

R5,BA

MOV

R0,#0FBH

MOV

P1,R0

MOV

R5,#05

BB:

LCALL

TIME01S

;延时0.5s

DJNZ

R5,BB

DJNZ

R4,BH

JMP

AL

TIME1S:

MOV

R3,#50

;延时1s

CLR

A

ABC:

MOV

A,R7

LCALL

XS

DJNZ

R3,ABC

DJNZ

R7,TIME1S

RET

TIME01S:

MOV

R7,#05

;延时0.1s

CLR

A

BCD:

MOV

A,R4

LCALL

XS

DJNZ

R7,BCD

RET

TIME10MS:

MOV

R2,#20

;键扫描10ms子程序

YS:

MOV

R6,#248

DJNZ

R6,$

DJNZ

R2,YS

RET

XS:

MOV

R6,A

;延时20ms并输出秒数

MOV

R1,#40H

MOV

A,R6

MOV

B,#10

DIV

AB

MOV

@R1,A

INC

R1

MOV

@R1,B

MOV

DPTR,#LIST

MOV

A,@R1

MOVC

A,@A+DPTR

MOV

P0,A

CLR

P3.7

LCALL

TIME10MS

SETB

P3.7

DEC

R1

MOV

DPTR,#LIST

MOV

A,@R1

MOVC

A,@A+DPTR

MOV

P0,A

CLR

P3.6

LCALL

TIME10MS

SETB

P3.6

RET

LIST:DB

3FH,06H,5BH,4FH

;查表

DB

66H,6DH,7DH,07H

DB

7FH,6FH,77H,7CH

DB

39H,5EH,79H,71H

DB

40H,00H

ZD:

MOV

43H,R7

;中断

PUSH

43H

MOV

44H,R0

PUSH

44H

MOV

45H,R6

PUSH

45H

MOV

46H,R3

PUSH

46H

JNB

P3.2,S1

JNB

P3.3,S2

RET

S1:

MOV

R7,#10

;由东西方向切换为南北方向

MOV

R0,#0DEH

MOV

P1,R0

LCALL

TIME1S

AJMP

FINALLY

S2:

MOV

R7,#10

;由南北方向切换为东西方向

MOV

R0,#0F3H

MOV

P1,R0

LCALL

TIME1S

AJMP

FINALLY

FINALLY:

POP

46H

MOV

R3,46H

POP

45H

MOV

R6,45H

POP

44H

MOV

R0,44H

POP

43H

MOV

R7,43H

RETI

EDN

仿真图

附图1

正常情况下南北车道放行20S仿真图

附图2

南北车道绿灯切换为东西车道绿灯的仿真图

篇3:十字路口交通灯PLC交通灯课程设计报告

十字路口交通灯PLC交通灯课程设计报告 本文关键词:交通灯,路口,课程设计,报告,PLC

十字路口交通灯PLC交通灯课程设计报告 本文简介:信息与电子工程学院课程设计报告课程:《电气控制与PLC应用》设计题目:十字路口交通灯专业:应用电子班级:成员:姓名学号成绩指导老师:答辩日期:目录目录一、一、课程设计概述课程设计概述.31.1课程设计内容.31.2课程设计技术指标.3二、二、方案的选择及确定方案的选择及确定4三、三、系统硬件设计系统

十字路口交通灯PLC交通灯课程设计报告 本文内容:

信息与电子工程学院

课程设计报告

程:《电气控制与

PLC

应用》

设计题目:十字路口交通灯

业:应用电子

级:

员:

姓名学号成绩

指导老师:

答辩日期:

目录目录

一、一、

课程设计概述课程设计概述.3

1.1

课程设计内容.3

1.2

课程设计技术指标.3

二、二、

方案的选择及确定方案的选择及确定4

三、三、

系统硬件设计系统硬件设计.5

四、四、

系统软件设计系统软件设计.6

五、五、

触摸屏设计触摸屏设计

8

六、六、

系统调试系统调试9

七、七、

总结以体会总结以体会

9

八、八、

参考文献参考文献10

九、九、

附录附录.10

附录

1:I/O

分配表:10

附录

2:仪器与器件10

附录

3:外部接线图11

附录

4:触摸屏页面图12

附录

5:使用说明12

一、一、课程设计概述课程设计概述

1.1

课程设计内容课程设计内容

在本设计中以城市十字路口为研究对象,根据十字路口车辆运行情况,调

整各红、绿、黄灯亮的时间,

如下图交通灯的时间和亮灯的情况表所示:

1、黄灯亮时提示相应的车辆准备。

2、绿灯亮时表示车辆直行。

3、绿灯闪烁时表示车辆转弯行驶。

4、红灯亮时表示禁止通行。

当交通灯通上电后,开始工作,一个循环时间为

70s,周而复始。此交通灯

每转换红、绿灯中间都设有黄灯

2s,即可提示相应的车辆准备又让上一步骤行

驶的车辆有效的保证全部通过十字路口,不仅提高了速度还提高了安全系数。

1.2

课程设计技术指标课程设计技术指标

1、东西红灯亮的同时南北黄灯亮

2

秒提示南北直行车辆准备,后南北绿灯亮

24

秒南北车辆可直行,然后在黄灯亮

2

秒提示南北转弯车辆准备,后在绿灯闪

7

秒此时南北转弯车辆可行驶。后跳转至南北红灯同时东西黄灯亮

2

秒提示

东西直行车辆准备,后东西绿灯亮

24

秒东西车辆可直行,然后在黄灯亮

2

秒提

示东西转弯车辆准备,后在绿灯闪烁

7

秒此时东西转弯车辆可行驶,周而复始。

2、各方向的交通灯受一个启动开关控制,当启动开关接通时,交通灯开始工作,

且先东西红灯亮,南北黄灯亮。当起动开关断开时,所有信号灯都同时熄灭。

3、程序要求各方向的同色交通灯不能同时亮,并且各方向不同状态切换时都有

黄灯

2

秒的准备时间。

4、车辆的运行必须要在路口交通灯显示为绿灯的时候才能启动。

5、运用

PLC

做实验的时候必须要运用到在

PLC

仪器上的触摸屏来显示程序的

实验结果。

二、二、方案的选择及确定方案的选择及确定

方案一:用单片机技术来实现交通灯控制

采用

AT89S52

单片机为核心,由数码管、LED

显示电路以及复位电路组成。

方案二:运用

PLC

设计,其中设计原理为:

1、车辆在黄灯准备,绿灯行驶,红灯等候,

交通信号灯的控制时序是按信号灯置

1

与置

0

两种状态绘制的,置

1

表示

信号灯点亮,置

0

表示信号灯点灭。

综上所述:

方案一:采用数码管显示,这种方案只显示有限的符号和数码字符,且电路复

杂难懂。

方案二,该电路简单易懂,而且功能全面。

对比两方案,方案二不仅电路简单并且在功能上相对更全面,添加了可转

弯行驶的时间段,和准备行使时间,确保了安全。

三、三、系统硬件设计系统硬件设计

1、硬件框图如下图所示:

由启动停止开关通过实验箱连载到触摸屏,在通过触摸屏模拟显示交通灯

的各个灯的状态,来实现交通灯的功能。

启动停止

开关

触摸屏

CPIH

东西红灯

东西绿灯

东西黄灯

南北红灯

南北绿灯

南北黄灯

2、开启启动停止开关后电路开始工作,周而复始直到关闭启动停止开关为止。

3、在此次课程设计中,我们使用的是安装了编程软件的计算机。通过计算机绘

PLC

梯形图编程后将程序发送到

PLC

进行验证。

四、四、系统软件设计系统软件设计

1、交通灯流程图如下所示:

先开启电源,使交通灯处于工作状态,在工作状态时先处于南北黄灯,东西

红灯亮的状态,时间为

2

秒,接下去判断时间是否到,当时间到

2

秒时工作下

一个状态,时间若没有到则继续当前状态,直至最后一个状态为止,后在转回

第一个状态循环到停止了启动停止开关,失去电源时才停止循环。

2、主要片段说明:

1)

、启动交通灯处于工作状态。

当启动停止开关

0.00

开启时,从分支指令的连锁至解除连锁之间进入工作

状态。

2)

、控制个个交通灯的持续时间。

当定时器

T0

得电,进入

70s

倒计时状态,在时间

68s’写成了‘’,虽然找出的错误只有一处,

但却使时间和灯的亮发不对应了。

七、七、总结以体会总结以体会

课程设计是我们专业课程知识综合应用的实践训练,我们迈向社会、职业,

工作前一个必不可少的一个过

程,

“千里之行始于足下”

,通过这次课程设计,我深深体会到

这句千古名

言的真正含义。

综合运用本所学课程的理论知识进行一次十字路口交通灯系统设计工作的

实际训练从而培养和提高学生独立工作能力,巩固与扩充了

PLC

设计等课程所

学的内容,掌握

PLC

设计的方法和步骤,在这次课程设计的过程中,发现自己

平时学习的不足和薄弱环节,从而加以弥补,由于经验上的不足,开始时连一

些最简单的指令也不熟悉,所以在这次课程设计过程中,有些指令不是很了解,

不能确定其正确与否,但通过做课程设计,使我更加了解了一些指令的功能及

应用。趁着做课程设计的同时也对课本知识有了巩固和加强,同时也加强了分

析问题和解决问题的能力。

八、八、参考文献参考文献

1、

《电气控制与

PLC

应用技术》

刘铁生主编

P163

2、

《可编程控制器原理与程序设计》

吴文廷、陈金佳、曾喜娟主编

P138

九、九、附录附录

附录附录

1::I/O

分配表:分配表:

输入端口功能输出端口功能

0.00启动开关100.00东西红灯

100.01东西绿灯

100.02东西黄灯

100.03南北红灯

100.04南北绿灯

100.05南北黄灯

101.00东西红灯

2

101.01东西绿灯

2

101.02东西黄灯

2

101.03南北红灯

2

101.04南北绿灯

2

101.05南北黄灯

2

附录附录

2:仪器与器件:仪器与器件

仪器:

名称型号数量

计算机DELI1

欧姆龙CP1H-XA40DR-A1

器材:

名称型号数量(个)

开关HJ-0521

触摸屏MT506T1

灯AD11-156

熔断器RL1-153

热继电器3UA59-1H1

AB

接口的

USB

接线DMP2201

附录附录

3:外部接线图:外部接线图

附录附录

4:触摸屏页面图:触摸屏页面图

此十字路口有四组共

12

盏交通灯,其中同方向的两组交通灯的亮法是相同

的。

附录附录

5:使用说明:使用说明

当外部控制电源开启时,交通灯处于工作状态,在工作状态时先处于南北

黄灯,东西红灯亮的状态,时间为

2

秒;时间到后在进入下一个工作状态,即

南北绿灯亮和东西红灯亮,时间为

24

秒;后在南北黄灯亮和东西红灯亮,时间

2

秒;在南北绿灯闪烁和东西红灯亮,时间为

7

秒;接下去是南北红灯亮的

同时东西灯依次为黄灯亮

2

秒,绿灯亮

24

秒,黄灯亮

2

秒,绿灯闪烁

7

秒,以

上是交通灯的一个循环,总共时间为

70

秒,周而复始。

版权所有:蓬勃范文网 2010-2024 未经授权禁止复制或建立镜像[蓬勃范文网]所有资源完全免费共享

Powered by 蓬勃范文网 © All Rights Reserved.。蜀ICP备20021444号